NOTE! This site uses cookies and similar technologies.

If you not change browser settings, you agree to it. Learn more

I understand
Wednesday, 02 March 2016 12:59

Differential Fault Injection on Microarchitectural Simulators

Rate this item
(0 votes)

M. Kaliorakis, S. Tselonis, A. Chatzidimitriou, N. Foutris and D. Gizopoulos, Workload Characterization (IISWC), 2015 IEEE International Symposium on, Atlanta, GA, 2015, pp. 172-182.

PDF DOI

Abstract

Fault injection on micro architectural structures modeled in performance simulators is an effective method for the assessment of microprocessors reliability in early design stages. Compared to lower level fault injection approaches it is orders of magnitude faster and allows execution of large portions of workloads to study the effect of faults to the final program output. Moreover, for many important hardware components it delivers accurate reliability estimates compared to analytical methods which are fast but are known to significantly over-estimate a structure's vulnerability to faults. This paper investigates the effectiveness of micro architectural fault injection for x86 and ARM microprocessors in a differential way: by developing and comparing two fault injection frameworks on top of the most popular performance simulators, MARSS and Gem5. The injectors, called MaFIN and GeFIN (for MARSS-based and Gem5-based Fault Injector, respectively), are designed for accurate reliability studies and deliver several contributions among which: (a) reliability studies for a wide set of fault models on major hardware structures (for different sizes and organizations), (b) study on the reliability sensitivity of micro architecture structures for the same ISA (x86) implemented on two different simulators, (c) study on the reliability of workloads and micro architectures for the two most popular ISAs (ARM vs. x86). For the workloads of our experimental study we analyze the common trends observed in the CPU reliability assessments produced by the two injectors. Also, we explain the sources of difference when diverging reliability reports are provided by the tools. Both the common trends and the differences are attributed to fundamental implementations of the simulators and are supported by benchmarks runtime statistics. The insights of our analysis can guide the selection of the most appropriate tool for hardware reliability studies (and thus decision-making for protection me- hanisms) on certain micro architectures for the popular x86 and ARM ISAs.

Details

  •  BIBTEX:
@INPROCEEDINGS{7314163, 
   author={M. Kaliorakis and S. Tselonis and A. Chatzidimitriou and N. Foutris and D. Gizopoulos}, 
   booktitle={Workload Characterization (IISWC), 2015 IEEE International Symposium on}, 
   title={Differential Fault Injection on Microarchitectural Simulators}, 
   year={2015}, 
   pages={172-182}, 
  keywords={computer architecture;digital simulation;fault tolerant computing;microprocessor chips;ARM microprocessors;CPU reliability assessments;GeFIN;Gem5;ISA;MARSS;MaFIN;design stages;differential fault injection;final program output;microarchitectural fault injection;microarchitectural simulators;microprocessors reliability;performance simulators;reliability sensitivity;runtime statistics;structure fault vulnerability;x86 microprocessors;Benchmark testing;Hardware;Microarchitecture;Microprocessors;Reliability engineering;Transient analysis;fault injection;micro-architectural simulators;microprocessors;reliability evaluation}, 
  doi={10.1109/IISWC.2015.28}, 
  month={Oct},
}
  • DOI: 10.1109/IISWC.2015.28
  • KEYWORDS: computer architecture;digital simulation;fault tolerant computing;microprocessor chips;ARM microprocessors;CPU reliability assessments;GeFIN;Gem5;ISA;MARSS;MaFIN;design stages;differential fault injection;final program output;microarchitectural fault injection;microarchitectural simulators;microprocessors reliability;performance simulators;reliability sensitivity;runtime statistics;structure fault vulnerability;x86 microprocessors;Benchmark testing;Hardware;Microarchitecture;Microprocessors;Reliability engineering;Transient analysis;fault injection;micro-architectural simulators;microprocessors;reliability evaluation

 

Read 2568 times Last modified on Wednesday, 02 March 2016 13:07

Add comment


Security code
Refresh